Monday, January 01, 2024

NEW: lang/ghdl

Hi ports --

This commit[0] from earlier today suggested porting GHDL as a
replacement for FreeHDL. Attached is that port.

---
pkg/DESCR:
GHDL is an open-source analyzer, compiler, simulator and (experimental)
synthesizer for VHDL, a Hardware Description Language (HDL). GHDL is not
an interpreter: it allows you to analyse and elaborate sources for
generating machine code from your design.

Supports the 1987, 1993, 2002 versions of the IEEE 1076 VHDL standard,
with partial support for the 2008 and 2019 revisions and PSL.
---

I don't necessarily want to be maintainer of this, as I'm no VHDL
expert. But it worked fine as far as I can tell on some simple vhdl
files I found on the Internet.

OK?

~Brian

[0] https://marc.info/?l=openbsd-ports-cvs&m=170413896711647&w=2

No comments:

Post a Comment